[计算机组成原理] 总线


title: 『计算机组成原理』总线
tags: [计算机]
mathjax: false
date: 2018-06-18 20:57:27
categories:
- 计算机
keywords:
description:
top:


总线

历史

  • 以 ALU 为中心
  • 以 MEM 为中心
  • 总线

分类

  • 根据数据传送方式: 串, 并
  • 根据宽度: 8,16,32,64
  • 根据使用范围: 计算机外设总线, 测控总线, 总线
  • 根据连接部件
    • 片内总线(芯片内)
    • 系统总线: CPU, MEM, IO之间信息传输
      根据传递的信息功能分类
      • 数据总线
      • 地址总线
      • 控制总线

特性

  • 机械特性: 规定几何尺寸,形状,引脚等
  • 电器特性: 信号方向, 电平范围等
  • 功能特性: 多跟线不同的功能
  • 时间特性: 时序

性能指标


总线宽度:通常指数据总线的根数;
总线带宽:总线的数据传输率,指单位时间内总线上传输数据的位数;
总线复用:指同一条信号线可以分时传输不同的信号。
总线的主设备(主模块):指一次总线传输期间,拥有总线控制权的设备(模块);
总线的从设备(从模块):指一次总线传输期间,配合主设备完成数据传输的设备(模块),它只能被动接受主设备发来的命令;
总线的传输周期:指总线完成一次完整而可靠的传输所需时间;
总线的通信控制:指总线传送过程中双方的时间配合方式。

总线结构

  • 单总线

  • 双总线(引入通道)


  • 三总线1
    IO总线, DMA 总线, 主存总线


  • 三总线2
    局部总线, 系统总线, 扩展总线


  • 四总线
    局部总线, 系统总线, 扩展总线, <mark>高速总线</mark> ( 适用高速 I/O 设备)


    四总线

总线控制

  • 判优

  • 仲裁逻辑

    • 链式查询
    • 计数器定时查询
  • 独立请求

比较

方式 每个设备用的总线数 实现 特点 原理
链式查询 2 简单 近的优先,对电路故障最敏感 BS总线忙,BR总线请求,BG总线同意.
BG信号串行地从近到远传递到下一个IO接口, 如果此接口有总线请求, 总 BG 不再向下传,此接口得到总线使用权
计数器定时查询 ~log2n 稍复杂 平等,对故障不敏感 多了一组设备地址先,少了BG. 总线未被使用时,BS=0. 总线控制部件的计数器开始计数,然后通过设备地址先,向各设备发出一组地址信号. 到设备地址值与计数器值相同时,就获得总线使用权
独立请求 2n 很复杂 响应速度快,优先次序灵活(通过程序改变) 设备发出对应的请求信号,总线控制部件中有一个排队电路, 可根据优先次序确定响应设备.
  • 通信

总线周期

申请分配 -> 寻址 -> 传输/通信 -> 结束 -> 申请分配...

总线标准

PCI

  • 高性能
  • 不依附于 CPU
  • 良好的兼容性
  • 即插即用(Plug and Play)
    每个 PCI 设备配有此设备的 reg, 供 BIOS 自动获取, 无需手动设置

USB

  • 即插即用
  • 通过 HUB 扩展连接
  • 速度快
  • 标准统一
  • 有4根线, 两根信号, 两根电源

通信方式

同步

通信双方由统一时标控制数据传送



异步

允许各模块速度不一致,更加灵活. 没有公共的时钟标准,不一颗球所有部件严格统一操作时间, 而是应用应答方式(又称 握手方式)

  • 不互锁
  • 半互锁
  • 全互锁

半同步

半同步通信既能像同步通信那样由统一时钟控制,又能像异步通信那样允许传输时间不一致,因此工作效率介于两者之间。

分离式

引入

以上三种通信方式都是从主模块发出地址和读写命令开始,直到数据传输结输周期中,系统总线的使用权完全由占有使用权的主模块和由它选中的从模块占据。
进一步分析读命令传输周期,

  • 主模块通过传输总线向从模块发送地址和命令。
  • 从模块按照命令进行读数据的必要准备。
  • 从模块经数据总线向主模块提供数据。.

由第二点可见,对系统总线而言,从模块内部读数据过程并无实质性的信息传输,总线空闲。为了克服和利用这种消极等待,尤其在大型刘算机系统中,总线的负载已处于饱和状态,
充分挖掘系统总线每瞬间的潜力,对提高系统性能起到极大作用。
提出了“分离式”的通信方式

原理

其基本思想是将一个传输周期(或总线周期)分解为两个子周期。在第一个子周期中,主模块A在得到总线使用权后将命令、地址以及其他有关信息,包括该主模块编号(当有多个主模块时,此号尤为重要)发到系统总线上,经总线传输后,由有关的从模块B接收下来。

主模块A向系统总线发布这些信息只占用总线很短的时间,一旦发送完,立即放弃总线使用权,
以便其他模块使用。在第二个子周期中,当B模块收到A模块发来的有关命令信号后,经选择、
译码、读取等一系列内部作,将A模块所需的数据准备好,使由B模块中请总线使用权,一旦
获准,B模块便将A模块的号、B模块的地址、A模块所需的数据等一系列信息送到总线上,供
A模块接收。很明显,上述两个传输子周期都只单方向的信息流,每个模块都变成了主模块。

特点

  • 各模块欲占用总线使用权都必须提出串请。
  • 在得到总线使用权后,主模块在限定的间内向对力传送信息,采用同步方式传送,不再
    等待对方的回答信号。
  • 各模块在准备数据的过程中都不占用总线,使总线可接受其他模块的请求。
  • 总线被占用,或者通过它发送命令,或通过它传送数据,不存在空闲等待时间,充分地利用了总线的有效占用,从而实现了总线在多个主、从模块间进行信息交叉重叠并行式传送.

这种方式控制比较复杂,一般用于大型计算机系统

©著作权归作者所有,转载或内容合作请联系作者
  • 序言:七十年代末,一起剥皮案震惊了整个滨河市,随后出现的几起案子,更是在滨河造成了极大的恐慌,老刑警刘岩,带你破解...
    沈念sama阅读 160,444评论 4 365
  • 序言:滨河连续发生了三起死亡事件,死亡现场离奇诡异,居然都是意外死亡,警方通过查阅死者的电脑和手机,发现死者居然都...
    沈念sama阅读 67,867评论 1 298
  • 文/潘晓璐 我一进店门,熙熙楼的掌柜王于贵愁眉苦脸地迎上来,“玉大人,你说我怎么就摊上这事。” “怎么了?”我有些...
    开封第一讲书人阅读 110,157评论 0 248
  • 文/不坏的土叔 我叫张陵,是天一观的道长。 经常有香客问我,道长,这世上最难降的妖魔是什么? 我笑而不...
    开封第一讲书人阅读 44,312评论 0 214
  • 正文 为了忘掉前任,我火速办了婚礼,结果婚礼上,老公的妹妹穿的比我还像新娘。我一直安慰自己,他们只是感情好,可当我...
    茶点故事阅读 52,673评论 3 289
  • 文/花漫 我一把揭开白布。 她就那样静静地躺着,像睡着了一般。 火红的嫁衣衬着肌肤如雪。 梳的纹丝不乱的头发上,一...
    开封第一讲书人阅读 40,802评论 1 223
  • 那天,我揣着相机与录音,去河边找鬼。 笑死,一个胖子当着我的面吹牛,可吹牛的内容都是我干的。 我是一名探鬼主播,决...
    沈念sama阅读 32,010评论 2 315
  • 文/苍兰香墨 我猛地睁开眼,长吁一口气:“原来是场噩梦啊……” “哼!你这毒妇竟也来了?” 一声冷哼从身侧响起,我...
    开封第一讲书人阅读 30,743评论 0 204
  • 序言:老挝万荣一对情侣失踪,失踪者是张志新(化名)和其女友刘颖,没想到半个月后,有当地人在树林里发现了一具尸体,经...
    沈念sama阅读 34,470评论 1 246
  • 正文 独居荒郊野岭守林人离奇死亡,尸身上长有42处带血的脓包…… 初始之章·张勋 以下内容为张勋视角 年9月15日...
    茶点故事阅读 30,696评论 2 250
  • 正文 我和宋清朗相恋三年,在试婚纱的时候发现自己被绿了。 大学时的朋友给我发了我未婚夫和他白月光在一起吃饭的照片。...
    茶点故事阅读 32,187评论 1 262
  • 序言:一个原本活蹦乱跳的男人离奇死亡,死状恐怖,灵堂内的尸体忽然破棺而出,到底是诈尸还是另有隐情,我是刑警宁泽,带...
    沈念sama阅读 28,538评论 3 258
  • 正文 年R本政府宣布,位于F岛的核电站,受9级特大地震影响,放射性物质发生泄漏。R本人自食恶果不足惜,却给世界环境...
    茶点故事阅读 33,188评论 3 240
  • 文/蒙蒙 一、第九天 我趴在偏房一处隐蔽的房顶上张望。 院中可真热闹,春花似锦、人声如沸。这庄子的主人今日做“春日...
    开封第一讲书人阅读 26,127评论 0 8
  • 文/苍兰香墨 我抬头看了看天上的太阳。三九已至,却和暖如春,着一层夹袄步出监牢的瞬间,已是汗流浃背。 一阵脚步声响...
    开封第一讲书人阅读 26,902评论 0 198
  • 我被黑心中介骗来泰国打工, 没想到刚下飞机就差点儿被人妖公主榨干…… 1. 我叫王不留,地道东北人。 一个月前我还...
    沈念sama阅读 35,889评论 2 283
  • 正文 我出身青楼,却偏偏与公主长得像,于是被迫代替她去往敌国和亲。 传闻我的和亲对象是个残疾皇子,可洞房花烛夜当晚...
    茶点故事阅读 35,741评论 2 274

推荐阅读更多精彩内容

  • 总线 计算机的各个功能部件通过总线连接在一起构成完整的计算机系统,总线是多个系统功能部件之间进行数据传送的公共通路...
    罗蓁蓁阅读 4,593评论 0 10
  • 计算机系统概述 计算机发展简史 1945年,美国制造出第一台电子计算机"ENIAC"。 计算机发展历程 第一代电子...
    Himmelt阅读 4,394评论 0 0
  • ​​​本文主要介绍嵌入式系统的一些基础知识,希望对各位有帮助。 嵌入式系统基础 1、嵌入式系统的定义 (1)定义:...
    OpenJetson阅读 3,251评论 0 13
  • 文/醉珏弦 吵吵是一只极小的幼猫。可以直接捧在手心。毛发稀疏,偏瘦。轻抚它的身躯可以触碰到骨架。两天后...
    王珏雯_Vanessa阅读 228评论 0 2
  • 残暑消融已现凉。东篱暗地换新装。 目迷烟渚披灵露,蝶戏琼枝醉夕阳。 枫叶赤,菊花黄。金风脉脉递馨香。 闲来不觉穷秋...
    尘埃落定1阅读 601评论 7 16