RAM接口控制器

大多数FPGA器件都包含专用的嵌入式存储器单元,虽然容量不大,但在小型设计中使用起来十分方便,同时可以简化单板设计,节约PCB空间。

由于不同外部存储器接口的差异性,不可能去写一个通用的接口控制器对外部存储器操作。使用内部存储器没有这种问题。

FIFO介绍

先进先出的存储队列。一般在程序中的作用是作为 数据的队列通道,让数据暂时缓存,以等待读取。与RAM明显不同的是FIFO是没有地址线的,因此不可随机寻址,而是先进先出。

不同模块之间的数据接口 ,尤其是不同时钟系统下的各个模块之间的数据接口是系统设计的关键。用异步FIFO模块来实现接口,接口双方都在自己时钟的同步下工作,它们之间不需要相互握手,只需要跟接口FIFO模块进行交互即可向接口FIFO中写入数据或读出数据。

用这样一个FIFO模块实现FPGA内部不同时钟系统之间的数据接口,使得设计变得容易。

FIFO框图及其信号

Xilinx FIFO IP调用

调用FIFO Generator,进行自定义。其端口类型有三个选择: Native、AXI Memory Mapped 以及AXI Stream三种。一般选择Native即可,如果却有特殊要求,比如需要与内存映射接口AXI或AXI流接口模块交互,则选择相应的接口的FIFO。

在选定了写数据宽度和深度后,读数据宽度和深度也随之确定。

在状态flag页可以选择性配置Almost Full flag和Almost empty flag。快要满标志信号在FIFO写到差一个就满了时候拉高,快要空信号在FIFO中只剩1个数据时候拉高。

还可以配置programmable flag信号,即自己设置一个阈值,来设定FIFO中数据的深度为多少时候拉高信号。

定制好IP后,通过例化使用该IP。

FIFO时序

写时序

当写使能wr_en拉高,并且将待写入数据等在din上,数据将会被写入FIFO。图中可以看到,full信号在D3时被拉高,表明FIFO写满了。此时,若继续往里写数据,则会导致现在的数据覆盖以前的数据,所以当full信号被拉高时应该停止写数据。

图片发自简书App

读时序

当读使能拉高后,数据会在下一个周期从dout口输出。当数据读完后,empty会被拉高。数据读完后若继续读,则会导致读取的数据为以前写入的数据,所以当empty为高时应停止读数据。

图片发自简书App
©著作权归作者所有,转载或内容合作请联系作者
  • 序言:七十年代末,一起剥皮案震惊了整个滨河市,随后出现的几起案子,更是在滨河造成了极大的恐慌,老刑警刘岩,带你破解...
    沈念sama阅读 159,716评论 4 364
  • 序言:滨河连续发生了三起死亡事件,死亡现场离奇诡异,居然都是意外死亡,警方通过查阅死者的电脑和手机,发现死者居然都...
    沈念sama阅读 67,558评论 1 294
  • 文/潘晓璐 我一进店门,熙熙楼的掌柜王于贵愁眉苦脸地迎上来,“玉大人,你说我怎么就摊上这事。” “怎么了?”我有些...
    开封第一讲书人阅读 109,431评论 0 244
  • 文/不坏的土叔 我叫张陵,是天一观的道长。 经常有香客问我,道长,这世上最难降的妖魔是什么? 我笑而不...
    开封第一讲书人阅读 44,127评论 0 209
  • 正文 为了忘掉前任,我火速办了婚礼,结果婚礼上,老公的妹妹穿的比我还像新娘。我一直安慰自己,他们只是感情好,可当我...
    茶点故事阅读 52,511评论 3 287
  • 文/花漫 我一把揭开白布。 她就那样静静地躺着,像睡着了一般。 火红的嫁衣衬着肌肤如雪。 梳的纹丝不乱的头发上,一...
    开封第一讲书人阅读 40,692评论 1 222
  • 那天,我揣着相机与录音,去河边找鬼。 笑死,一个胖子当着我的面吹牛,可吹牛的内容都是我干的。 我是一名探鬼主播,决...
    沈念sama阅读 31,915评论 2 313
  • 文/苍兰香墨 我猛地睁开眼,长吁一口气:“原来是场噩梦啊……” “哼!你这毒妇竟也来了?” 一声冷哼从身侧响起,我...
    开封第一讲书人阅读 30,664评论 0 202
  • 序言:老挝万荣一对情侣失踪,失踪者是张志新(化名)和其女友刘颖,没想到半个月后,有当地人在树林里发现了一具尸体,经...
    沈念sama阅读 34,412评论 1 246
  • 正文 独居荒郊野岭守林人离奇死亡,尸身上长有42处带血的脓包…… 初始之章·张勋 以下内容为张勋视角 年9月15日...
    茶点故事阅读 30,616评论 2 245
  • 正文 我和宋清朗相恋三年,在试婚纱的时候发现自己被绿了。 大学时的朋友给我发了我未婚夫和他白月光在一起吃饭的照片。...
    茶点故事阅读 32,105评论 1 260
  • 序言:一个原本活蹦乱跳的男人离奇死亡,死状恐怖,灵堂内的尸体忽然破棺而出,到底是诈尸还是另有隐情,我是刑警宁泽,带...
    沈念sama阅读 28,424评论 2 254
  • 正文 年R本政府宣布,位于F岛的核电站,受9级特大地震影响,放射性物质发生泄漏。R本人自食恶果不足惜,却给世界环境...
    茶点故事阅读 33,098评论 3 238
  • 文/蒙蒙 一、第九天 我趴在偏房一处隐蔽的房顶上张望。 院中可真热闹,春花似锦、人声如沸。这庄子的主人今日做“春日...
    开封第一讲书人阅读 26,096评论 0 8
  • 文/苍兰香墨 我抬头看了看天上的太阳。三九已至,却和暖如春,着一层夹袄步出监牢的瞬间,已是汗流浃背。 一阵脚步声响...
    开封第一讲书人阅读 26,869评论 0 197
  • 我被黑心中介骗来泰国打工, 没想到刚下飞机就差点儿被人妖公主榨干…… 1. 我叫王不留,地道东北人。 一个月前我还...
    沈念sama阅读 35,748评论 2 276
  • 正文 我出身青楼,却偏偏与公主长得像,于是被迫代替她去往敌国和亲。 传闻我的和亲对象是个残疾皇子,可洞房花烛夜当晚...
    茶点故事阅读 35,641评论 2 271

推荐阅读更多精彩内容