Quartus18 Modelsim-Altera 仿真

Quartus Prime 中集成了Modelsim,可以调用Modelsim对顶层文件进行仿真。

1、初次使用的话,设置Modelsim-Altera的安装路径:

Tools--Options


image.png

General--EDA Tool Options,设置仿真工具的目录。右侧窗口选择你电脑Quartus安装目录中Modelsim-altera的位置,示例如图


a11 Modelsim-Altera.png

2、打开或者新建工程,将当前工程的仿真工具设为ModelSim-Altera

这里以一个译码器的工程为例:

module decode7(keys,segment);
input [3:0] keys;
output [7:0]segment;
reg [7:0] segment;

always @(*)
begin
    case(keys)
    4'd0:segment = 8'hC0;
    4'd1:segment = 8'hF9;
    4'd2:segment = 8'hA4;
    4'd3:segment = 8'hB0;
    4'd4:segment = 8'h99;
    4'd5:segment = 8'h92;
    4'd6:segment = 8'h82;
    4'd7:segment = 8'hF8;
    4'd8:segment = 8'h80;
    4'd9:segment = 8'h90;
    default:segment = 8'hFF;
    endcase 
end
endmodule

工程设计完成后,设置Assignments -- Settings,弹出的对话框中,左侧选择EDA Tool Setting -- Simulation,右侧的第一个下拉列表选择ModelSim-Altera,示例如图。


a12 simulation setting.png

3、生成测试文件.vt

先对工程执行分析综合: Analysis & Synthesis
然后生成测试文件模板,操作如下。


image.png

生成的.vt文件位于当前工程目录中..\simulation\modelsim\ 文件夹内。
生成的 .vt只是一个模板,并不完整,需要补充。用Quartus打开这个.vt 测试文件:


打开 .vt 测试文件

编辑需要的测试激励后,保存。

`timescale 1 ns/ 1 ps
module decode7_vlg_tst();
reg [3:0] keys;
wire [7:0]  segment;
                        
decode7 i1 (  
    .keys(keys),
    .segment(segment)
);
initial                                                
begin                                                  
   keys = 4'd0;#50;
   keys = 4'd1;#50;
   keys = 4'd2;#50; 
end                                      
endmodule

拷贝下vt文件中的顶层模块名,decode7_vlg_tst

4、将激励文件添加到仿真接口

Assignments -- Settings,弹出的对话框中,左侧选择EDA Tool Setting -- Simulation,右侧的第一个下拉列表选择ModelSim-Altera,下面添加Test Benches,流程示例如图。


设置Test Benches

image.png

第一个Test Bench name给当前的仿真起个名字,可以粘贴刚才的 .vt 里 decode7_vlg_tst
第二个Top level module ....粘贴测试文件.vt 里顶层module的名字 decode7_vlg_tst
点击Add后,点击OK--OK---OK........

5、功能仿真

Tools -- Run ---RTL Simulation(寄存器传输级仿真,与功能仿真相同),等一会儿,大约几十秒,弹出modelsim仿真窗口和结果


RTL Simulation

数码管显示译码器--功能仿真结果

6、时序仿真

运行完功能仿真后,如果想观察芯片实际延时信息,可以做时序仿真。
将仿真设置里的 More EDA Netlist Writer Setting ---- Generate funtional simulation netlist, 改成 Off
需要确保设置过芯片型号、引脚分配,然后完整编译。
然后运行时序仿真:Tools -- Run ---Gate level Simulation。弹出一个仿真模型,选一个即可。


选择时序仿真模型

image.png

常见错误:
1、功能仿真时,输出无信号,波形显示红色直线。
原因:可能是由于设计文件中的寄存器没有初始值,而电路基于该寄存器进行了取值、判断等操作,导致获取不到寄存器的值。
解决办法:为电路添加复位信号,在复位信号有效时给寄存器赋初值。
说明:这是仿真器的问题。在实际电路中,芯片上电后,寄存器内必然有值。
2、error deleting "msim_transcript": permission denied.


error deleting "msim_transcript"

原因:Modelsim已经打开了,不能进行新的仿真。
解决办法:关闭已经打开的Modelsim,重新启动仿真。
3、其他错误解决办法
测试文件如果有语法错误的话,会在modelsim的输出窗口以红色字体给予提示。


Modelsim error.png
最后编辑于
©著作权归作者所有,转载或内容合作请联系作者
  • 序言:七十年代末,一起剥皮案震惊了整个滨河市,随后出现的几起案子,更是在滨河造成了极大的恐慌,老刑警刘岩,带你破解...
    沈念sama阅读 159,716评论 4 364
  • 序言:滨河连续发生了三起死亡事件,死亡现场离奇诡异,居然都是意外死亡,警方通过查阅死者的电脑和手机,发现死者居然都...
    沈念sama阅读 67,558评论 1 294
  • 文/潘晓璐 我一进店门,熙熙楼的掌柜王于贵愁眉苦脸地迎上来,“玉大人,你说我怎么就摊上这事。” “怎么了?”我有些...
    开封第一讲书人阅读 109,431评论 0 244
  • 文/不坏的土叔 我叫张陵,是天一观的道长。 经常有香客问我,道长,这世上最难降的妖魔是什么? 我笑而不...
    开封第一讲书人阅读 44,127评论 0 209
  • 正文 为了忘掉前任,我火速办了婚礼,结果婚礼上,老公的妹妹穿的比我还像新娘。我一直安慰自己,他们只是感情好,可当我...
    茶点故事阅读 52,511评论 3 287
  • 文/花漫 我一把揭开白布。 她就那样静静地躺着,像睡着了一般。 火红的嫁衣衬着肌肤如雪。 梳的纹丝不乱的头发上,一...
    开封第一讲书人阅读 40,692评论 1 222
  • 那天,我揣着相机与录音,去河边找鬼。 笑死,一个胖子当着我的面吹牛,可吹牛的内容都是我干的。 我是一名探鬼主播,决...
    沈念sama阅读 31,915评论 2 313
  • 文/苍兰香墨 我猛地睁开眼,长吁一口气:“原来是场噩梦啊……” “哼!你这毒妇竟也来了?” 一声冷哼从身侧响起,我...
    开封第一讲书人阅读 30,664评论 0 202
  • 序言:老挝万荣一对情侣失踪,失踪者是张志新(化名)和其女友刘颖,没想到半个月后,有当地人在树林里发现了一具尸体,经...
    沈念sama阅读 34,412评论 1 246
  • 正文 独居荒郊野岭守林人离奇死亡,尸身上长有42处带血的脓包…… 初始之章·张勋 以下内容为张勋视角 年9月15日...
    茶点故事阅读 30,616评论 2 245
  • 正文 我和宋清朗相恋三年,在试婚纱的时候发现自己被绿了。 大学时的朋友给我发了我未婚夫和他白月光在一起吃饭的照片。...
    茶点故事阅读 32,105评论 1 260
  • 序言:一个原本活蹦乱跳的男人离奇死亡,死状恐怖,灵堂内的尸体忽然破棺而出,到底是诈尸还是另有隐情,我是刑警宁泽,带...
    沈念sama阅读 28,424评论 2 254
  • 正文 年R本政府宣布,位于F岛的核电站,受9级特大地震影响,放射性物质发生泄漏。R本人自食恶果不足惜,却给世界环境...
    茶点故事阅读 33,098评论 3 238
  • 文/蒙蒙 一、第九天 我趴在偏房一处隐蔽的房顶上张望。 院中可真热闹,春花似锦、人声如沸。这庄子的主人今日做“春日...
    开封第一讲书人阅读 26,096评论 0 8
  • 文/苍兰香墨 我抬头看了看天上的太阳。三九已至,却和暖如春,着一层夹袄步出监牢的瞬间,已是汗流浃背。 一阵脚步声响...
    开封第一讲书人阅读 26,869评论 0 197
  • 我被黑心中介骗来泰国打工, 没想到刚下飞机就差点儿被人妖公主榨干…… 1. 我叫王不留,地道东北人。 一个月前我还...
    沈念sama阅读 35,748评论 2 276
  • 正文 我出身青楼,却偏偏与公主长得像,于是被迫代替她去往敌国和亲。 传闻我的和亲对象是个残疾皇子,可洞房花烛夜当晚...
    茶点故事阅读 35,641评论 2 271

推荐阅读更多精彩内容