STM32定时器输出(PWM)

姓名:周崇杰   学号:16140120059    专业:机械设计制造及其自动化

转载自:http://blog.csdn.net/wuyuzun/article/details/72851940,有删节

【嵌牛导读】:PWM波在项目中应用非常广泛,本文讲解STM32单片机产生PWM波的全过程。

【嵌牛鼻子】:STM32单片机,PWM波。

【嵌牛提问】:STM32单片机如何产生一个特定的PWM波呢?

【嵌牛正文】:

前言:

1.本博文基于ARM Cortex-M3内核的STM32F103ZET6处理器芯片和标准3.5.0库函数;

2.不介绍PWM的基础概念,但是需要知道一点的是,PWM是输出比较的一种特例;

3.如有不足指出,还望前辈多多指教;

4.要想学会这个知识点,必须要掌握下面这位博友写的博客里的几个概念,不然后来很有可能会懵逼;

http://blog.sina.com.cn/s/blog_3ba262a10101esd1.html

Ⅰ 定时器和PWM

(1)8个定时器中,除了TIM6和TIM7,其他定时器都可以产生PWM输出;

(2)高级定时器TIM1和TIM8可以同时输出7路PWM(CH1~7,共7个通道),通用定时器同时可产生4个PWM输出(CH1~4,共4个通道);也即是STM32F103ZET6最多同时产生30通道的PWM;

(3)本博客只用TIM3的CH2通道(为什么选择这个后来解释);

Ⅱ 相关寄存器

(1)捕获/比较模式寄存器1 TIMx_CCMR1(还有TIMx_CCMR2)

可以认为这是输入输出双功能的寄存器:OCxx代表输出模式(第一排),ICxx代表输入模式(第二排),也即是同一个位在不同的输入和输出模式下功能是不同的;PWM是用来输出的,所以这里只有第一排输出功能,而且是通道是CH2;

从寄存器图中可以看出来:

CCMR1的[15:8]位负责TIM3的CH2通道,[7:0]负责TIM3的CH1通道;

CCMR2的[15:8]位负责TIM3的CH4通道,[7:0]负责TIM3的CH3通道;

对于模式设置OCxM[2:0]如上图:OCxM[2:0] = 110或111的时候为PWM输出的两种方式

(2)捕获/比较使能寄存器1 TIMx_CCER1(还有TIMx_CCER2)

这个寄存器涉及到的问题相当重要,开始看这个位解释的时候根本就不想去了解,因为实在是无从下手;后来搜到了一位大神的博客豁然开朗,下面是大神的博客:

http://blog.sina.com.cn/s/blog_3ba262a10101esd1.html

(3)捕获/比较寄存器1 TIMx_CCR1(1~4,共四个通道)

CCR寄存器决定PWM占空比:在输出模式下,该寄存器的值与CNT的值进行比较,根据结果产生相应动作。利用这一点,通过修改这个寄存器的值,就可以控制PWM的输出脉宽了。

Ⅲ 复用映射和调试IO配置寄存器(AFIO_MAPR)

PWM相关寄存器配置OK后,紧接着一个直接的问题就是:总要有一个IO口来输出做好的PWM吧?对,这就引出了AFIO_MAPR寄存器的概念;此寄存器就是为配置定时器输出时所用的管脚而生(但也并不是所有的管脚都能被任意的定时器用);从我个人的开发板的硬件电路出发, 要利用TIM3和GPIOB5管脚输出PWM信号来控制一个LED灯,从而实现控制LED亮度的实验;但是有一个棘手的问题是:在初始化(复位)AFIO_MAPR寄存器状态下,TIM3输出PWM信号给了GPIOPA7,所以要修改,对应关系如下图:

结合两个图:AFIO_MAPR寄存器中的[11:10]位可以为重新配置TIM与GPIO关系;这里我们是让[11:10] = 10 ; (定时器输出属于GPIO的复用功能)

(其他定时器的配置可以参考使用手册的其它位设置,道理是有一样的)

Ⅳ 编程步骤

GPIO_InitTypeDef GPIO_InitStructure;

TIM_TimeBaseInitTypeDef TIM_TimeBaseInitStructure;

TIM_OCInitTypeDef TIM_OCInitStructure;

(1)开启TIMx时钟以及复用功能,配置要设置的对应的管脚复用输出(PB5);

RCC_APB1PeriphClockCmd(RCC_APB1Periph_TIM3,ENABLE);

RCC_APB2PeriphClockCmd(RCC_APB2Periph_AFIO,ENABLE);

RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB,ENABLE);

(2)重定义TIMx和GPIOx的连接

GPIO_PinRemapConfig(GPIO_PartialRemap_TIM3,ENABLE);

(3)分别配置管脚参数,普通定时器参数和定时器比较参数(PWM);

GPIO_InitStructure.GPIO_Pin = GPIO_Pin_5;

GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;

GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;

GPIO_Init(GPIOB,&GPIO_InitStructure);

TIM_TImeBaseInitStructure.TIM_ClockDivision = TIM_CKD_DIV1;

TIM_TImeBaseInitStructure.TIM_CounterMode = TIM_CounterMode_Up;

TIM_TImeBaseInitStructure.TIM_Period = arr;

TIM_TImeBaseInitStructure.TIM_Prescaler = psc;

TIM_TimeBaseInit(TIM3,&TIM_TImeBaseInitStructure);

TIM_OCInitStructure.TIM_OCMode = TIM_OCMode_PWM2;

TIM_OCInitStructure.TIM_OutputState = TIM_OutputState_Enable;

TIM_OCInitStructure.TIM_OCPolarity = TIM_OCPolarity_High;

TIM_OC2Init(TIM3,&TIM_OCInitStructure);

(4)使能预装载寄存器

TIM_OC2PreloadConfig(TIM3,TIM_OCPreload_Enable);

(5)使能定时器

TIM_Cmd(TIM3,ENABLE);

这里还有一个重要的函数就是

void TIM_SetCompare2(TIM3xu16 CCRx_value); //之前在介绍CCRx寄存器的时候说过此函数是决定PWM占空比的函数;

©著作权归作者所有,转载或内容合作请联系作者
  • 序言:七十年代末,一起剥皮案震惊了整个滨河市,随后出现的几起案子,更是在滨河造成了极大的恐慌,老刑警刘岩,带你破解...
    沈念sama阅读 151,511评论 1 330
  • 序言:滨河连续发生了三起死亡事件,死亡现场离奇诡异,居然都是意外死亡,警方通过查阅死者的电脑和手机,发现死者居然都...
    沈念sama阅读 64,495评论 1 273
  • 文/潘晓璐 我一进店门,熙熙楼的掌柜王于贵愁眉苦脸地迎上来,“玉大人,你说我怎么就摊上这事。” “怎么了?”我有些...
    开封第一讲书人阅读 101,595评论 0 225
  • 文/不坏的土叔 我叫张陵,是天一观的道长。 经常有香客问我,道长,这世上最难降的妖魔是什么? 我笑而不...
    开封第一讲书人阅读 42,558评论 0 190
  • 正文 为了忘掉前任,我火速办了婚礼,结果婚礼上,老公的妹妹穿的比我还像新娘。我一直安慰自己,他们只是感情好,可当我...
    茶点故事阅读 50,715评论 3 270
  • 文/花漫 我一把揭开白布。 她就那样静静地躺着,像睡着了一般。 火红的嫁衣衬着肌肤如雪。 梳的纹丝不乱的头发上,一...
    开封第一讲书人阅读 39,672评论 1 192
  • 那天,我揣着相机与录音,去河边找鬼。 笑死,一个胖子当着我的面吹牛,可吹牛的内容都是我干的。 我是一名探鬼主播,决...
    沈念sama阅读 31,112评论 2 291
  • 文/苍兰香墨 我猛地睁开眼,长吁一口气:“原来是场噩梦啊……” “哼!你这毒妇竟也来了?” 一声冷哼从身侧响起,我...
    开封第一讲书人阅读 29,837评论 0 181
  • 序言:老挝万荣一对情侣失踪,失踪者是张志新(化名)和其女友刘颖,没想到半个月后,有当地人在树林里发现了一具尸体,经...
    沈念sama阅读 33,417评论 0 228
  • 正文 独居荒郊野岭守林人离奇死亡,尸身上长有42处带血的脓包…… 初始之章·张勋 以下内容为张勋视角 年9月15日...
    茶点故事阅读 29,928评论 2 232
  • 正文 我和宋清朗相恋三年,在试婚纱的时候发现自己被绿了。 大学时的朋友给我发了我未婚夫和他白月光在一起吃饭的照片。...
    茶点故事阅读 31,316评论 1 242
  • 序言:一个原本活蹦乱跳的男人离奇死亡,死状恐怖,灵堂内的尸体忽然破棺而出,到底是诈尸还是另有隐情,我是刑警宁泽,带...
    沈念sama阅读 27,773评论 2 234
  • 正文 年R本政府宣布,位于F岛的核电站,受9级特大地震影响,放射性物质发生泄漏。R本人自食恶果不足惜,却给世界环境...
    茶点故事阅读 32,253评论 3 220
  • 文/蒙蒙 一、第九天 我趴在偏房一处隐蔽的房顶上张望。 院中可真热闹,春花似锦、人声如沸。这庄子的主人今日做“春日...
    开封第一讲书人阅读 25,827评论 0 8
  • 文/苍兰香墨 我抬头看了看天上的太阳。三九已至,却和暖如春,着一层夹袄步出监牢的瞬间,已是汗流浃背。 一阵脚步声响...
    开封第一讲书人阅读 26,440评论 0 180
  • 我被黑心中介骗来泰国打工, 没想到刚下飞机就差点儿被人妖公主榨干…… 1. 我叫王不留,地道东北人。 一个月前我还...
    沈念sama阅读 34,523评论 2 249
  • 正文 我出身青楼,却偏偏与公主长得像,于是被迫代替她去往敌国和亲。 传闻我的和亲对象是个残疾皇子,可洞房花烛夜当晚...
    茶点故事阅读 34,583评论 2 249

推荐阅读更多精彩内容