Verilog-tips

※ 参考书:Verilog HDL 数字设计与综合(第二版)(本科教学版)

  • 字符串:必须在一行内写完。不可以包含回车。
  • module中的input和output与函数值传递不同。物理意义是相连。接口的内与外是不同的,也各自有各自的要求。
  • 惯性延迟:当输入的脉冲信号宽度小于延迟时,该信号变化(即脉冲)对输出不起任何作用。
  • x&&0 → x,不是0。
  • initial与always之后的语句块(begin-end)中均为顺序执行。#延迟参数均是相对于上一条语句的相对时间。区别见表格:
initial always
只执行一次 循环执行
不可综合 可综合
  • 连续赋值语句需要标识符assign,表示的是一种连接关系,时刻是active的,参量的变换一直会及时反映的左值。过程赋值语句只是一次性赋值。
    • 隐式连续赋值中,在定义变量同时确定链接关系,没有使用assign关键字,注意一定与过程性赋值有所区分!!!
  • 非阻塞赋值语句:
    • 总体的时间延迟仍旧受之前的阻塞语句影响。
    • 写在一起的所有非阻塞赋值语句并行走,对顺序不敏感。
    • 执行瞬间,仿真器现将所有语句右值存储,之后根据时间调度运算赋值。因而使用的均为“旧值”。
    • 例子详见课本81页下。
  • 电平跳变:
    • posedge:0→x,z,1;x,z→1。即脱离0或者到达1。
    • negedge:1→x,z,0;x,z→0。即脱离1或者到达0。
  • case语句与C语言中的switch语句并不完全相同:
    • 是case-endcase。
    • 每个case语句块最后不需要写break;也无法完成顺延执行的功能。
    • 但可以实现多种case执行同一个语句块,用“,”隔开。
  • 总线为inout并赋值z时,表示悬挂, 读取该总线值为z,没有数据;但是与驱动源连接时,该总线可以被赋值为驱动源值,并可以将值赋给reg等。见P158上。
  • 可综合原则8条:
    1. 时序电路建模时,使用非阻塞赋值。
    2. 锁存器电路建模时,用非阻塞赋值。
    3. 用always块建立组合逻辑模型时,用阻塞赋值。
    4. 在同一个always块中建立时序和组合逻辑电路时,用非阻塞赋值。
    5. 在同一个always块中不要既用非阻塞赋值又用阻塞赋值。
    6. 不要在一个以上的always块中为同一个变量赋值。
    7. 用$strobe系统任务来显示用非阻塞赋值的变量值。
    8. 在赋值时不要使用延迟(包括#0)。
最后编辑于
©著作权归作者所有,转载或内容合作请联系作者
  • 序言:七十年代末,一起剥皮案震惊了整个滨河市,随后出现的几起案子,更是在滨河造成了极大的恐慌,老刑警刘岩,带你破解...
    沈念sama阅读 158,736评论 4 362
  • 序言:滨河连续发生了三起死亡事件,死亡现场离奇诡异,居然都是意外死亡,警方通过查阅死者的电脑和手机,发现死者居然都...
    沈念sama阅读 67,167评论 1 291
  • 文/潘晓璐 我一进店门,熙熙楼的掌柜王于贵愁眉苦脸地迎上来,“玉大人,你说我怎么就摊上这事。” “怎么了?”我有些...
    开封第一讲书人阅读 108,442评论 0 243
  • 文/不坏的土叔 我叫张陵,是天一观的道长。 经常有香客问我,道长,这世上最难降的妖魔是什么? 我笑而不...
    开封第一讲书人阅读 43,902评论 0 204
  • 正文 为了忘掉前任,我火速办了婚礼,结果婚礼上,老公的妹妹穿的比我还像新娘。我一直安慰自己,他们只是感情好,可当我...
    茶点故事阅读 52,302评论 3 287
  • 文/花漫 我一把揭开白布。 她就那样静静地躺着,像睡着了一般。 火红的嫁衣衬着肌肤如雪。 梳的纹丝不乱的头发上,一...
    开封第一讲书人阅读 40,573评论 1 216
  • 那天,我揣着相机与录音,去河边找鬼。 笑死,一个胖子当着我的面吹牛,可吹牛的内容都是我干的。 我是一名探鬼主播,决...
    沈念sama阅读 31,847评论 2 312
  • 文/苍兰香墨 我猛地睁开眼,长吁一口气:“原来是场噩梦啊……” “哼!你这毒妇竟也来了?” 一声冷哼从身侧响起,我...
    开封第一讲书人阅读 30,562评论 0 197
  • 序言:老挝万荣一对情侣失踪,失踪者是张志新(化名)和其女友刘颖,没想到半个月后,有当地人在树林里发现了一具尸体,经...
    沈念sama阅读 34,260评论 1 241
  • 正文 独居荒郊野岭守林人离奇死亡,尸身上长有42处带血的脓包…… 初始之章·张勋 以下内容为张勋视角 年9月15日...
    茶点故事阅读 30,531评论 2 245
  • 正文 我和宋清朗相恋三年,在试婚纱的时候发现自己被绿了。 大学时的朋友给我发了我未婚夫和他白月光在一起吃饭的照片。...
    茶点故事阅读 32,021评论 1 258
  • 序言:一个原本活蹦乱跳的男人离奇死亡,死状恐怖,灵堂内的尸体忽然破棺而出,到底是诈尸还是另有隐情,我是刑警宁泽,带...
    沈念sama阅读 28,367评论 2 253
  • 正文 年R本政府宣布,位于F岛的核电站,受9级特大地震影响,放射性物质发生泄漏。R本人自食恶果不足惜,却给世界环境...
    茶点故事阅读 33,016评论 3 235
  • 文/蒙蒙 一、第九天 我趴在偏房一处隐蔽的房顶上张望。 院中可真热闹,春花似锦、人声如沸。这庄子的主人今日做“春日...
    开封第一讲书人阅读 26,068评论 0 8
  • 文/苍兰香墨 我抬头看了看天上的太阳。三九已至,却和暖如春,着一层夹袄步出监牢的瞬间,已是汗流浃背。 一阵脚步声响...
    开封第一讲书人阅读 26,827评论 0 194
  • 我被黑心中介骗来泰国打工, 没想到刚下飞机就差点儿被人妖公主榨干…… 1. 我叫王不留,地道东北人。 一个月前我还...
    沈念sama阅读 35,610评论 2 274
  • 正文 我出身青楼,却偏偏与公主长得像,于是被迫代替她去往敌国和亲。 传闻我的和亲对象是个残疾皇子,可洞房花烛夜当晚...
    茶点故事阅读 35,514评论 2 269

推荐阅读更多精彩内容

  • 10#数据类型 合并数组和非合并数组 合并数组:存储方式是连续的,中间没有闲置空间。例如,32bit的寄存器,可以...
    constant007阅读 31,377评论 0 18
  • 《裕语言》速成开发手册3.0 官方用户交流:iApp开发交流(1) 239547050iApp开发交流(2) 10...
    叶染柒丶阅读 23,947评论 5 18
  • 2017.7.17凌晨 我越想烦心事越睡不着,突然打了两个喷嚏,然后发觉放松了很多。 一而再再而三的原谅容易养成对...
    禾必阅读 215评论 8 1
  • 今天终于把小项目给做完了,感觉在实战过程中学到的知道很多,做一个项目比看十遍视频都要强,在做项目的过程中会发现很多...
    符男帮阅读 122评论 0 0
  • 我算过一笔帐, 我在某二流学校读新闻专业, 朋友A读重本,四年都没拿奖学金,我年年拿,年年都是一等奖; +朋友B是...
    兔U阅读 4,697评论 26 172